RISS 학술연구정보서비스

검색
다국어 입력

http://chineseinput.net/에서 pinyin(병음)방식으로 중국어를 변환할 수 있습니다.

변환된 중국어를 복사하여 사용하시면 됩니다.

예시)
  • 中文 을 입력하시려면 zhongwen을 입력하시고 space를누르시면됩니다.
  • 北京 을 입력하시려면 beijing을 입력하시고 space를 누르시면 됩니다.
닫기
    인기검색어 순위 펼치기

    RISS 인기검색어

      검색결과 좁혀 보기

      선택해제
      • 좁혀본 항목 보기순서

        • 원문유무
        • 원문제공처
          펼치기
        • 등재정보
          펼치기
        • 학술지명
          펼치기
        • 주제분류
        • 발행연도
          펼치기
        • 작성언어
        • 저자
          펼치기

      오늘 본 자료

      • 오늘 본 자료가 없습니다.
      더보기
      • 무료
      • 기관 내 무료
      • 유료
      • KCI등재

        Selective Etching of Thick Si3N4, SiO2 and Si by Using CF4/O2 and C2F6 Gases with or without O2 or Ar Addition

        이희관,정관수,유재수 한국물리학회 2009 THE JOURNAL OF THE KOREAN PHYSICAL SOCIETY Vol.54 No.5

        The etching characteristics of thick Si3N4, SiO2 and Si in CF4/O2 (95 %/5 %) and C2F6 plasmas with or without O2 or Ar gas as diluents by using reactive ion etching were studied, together with photoresist mask erosion rate during the etching. Etching parameters, such as the CF4/O2 (or C2F6) flow rate, the O2 flow rate, the Ar flow rate, the rf power and the process pressure, were changed. The selective etching of Si3N4 over SiO2 and Si was also investigated under various etching conditions. We found that CF4/O2 gas was more efficient than C2F6 gas owing to the enhanced Si3N4 etching caused by NO for the selective etching of Si3N4 over both SiO2 and Si. In a CF4/O2 plasma, the etching selectivity was considerably improved with decreasing flow rates of CF4/O2 and O2 (or Ar) and with increasing process pressure. Si3N4 etch selectivities over SiO2 and Si of 13.2 and 8.9 were obtained, respectively, with 20 sccm CF4/O2 at 150 mTorr and 75 W, while maintaining a high Si3N4 etch rate of 306 nm/min. The etching rates were measured by using a surface profiler and a scanning electron microscope (SEM). The etched profiles and the surface morphology were observed by using a SEM. A possible mechanism for these etching phenomena is discussed. The etching characteristics of thick Si3N4, SiO2 and Si in CF4/O2 (95 %/5 %) and C2F6 plasmas with or without O2 or Ar gas as diluents by using reactive ion etching were studied, together with photoresist mask erosion rate during the etching. Etching parameters, such as the CF4/O2 (or C2F6) flow rate, the O2 flow rate, the Ar flow rate, the rf power and the process pressure, were changed. The selective etching of Si3N4 over SiO2 and Si was also investigated under various etching conditions. We found that CF4/O2 gas was more efficient than C2F6 gas owing to the enhanced Si3N4 etching caused by NO for the selective etching of Si3N4 over both SiO2 and Si. In a CF4/O2 plasma, the etching selectivity was considerably improved with decreasing flow rates of CF4/O2 and O2 (or Ar) and with increasing process pressure. Si3N4 etch selectivities over SiO2 and Si of 13.2 and 8.9 were obtained, respectively, with 20 sccm CF4/O2 at 150 mTorr and 75 W, while maintaining a high Si3N4 etch rate of 306 nm/min. The etching rates were measured by using a surface profiler and a scanning electron microscope (SEM). The etched profiles and the surface morphology were observed by using a SEM. A possible mechanism for these etching phenomena is discussed.

      • KCI등재

        Fabrication of Ni Nanoparticle-Embedded Porous Carbon Nanofibers through Selective Etching of Selectively Oxidized MgO

        Gi-Baek Lee,Won-Hyo Joo,Ho-Young Kang,Jae-Chan Lee,In-Kyung Ahn,Ji-Yong Kim,Hyoung Gyun Kim,Dae-Hyun Nam,Young-Chang Joo 대한금속·재료학회 2022 ELECTRONIC MATERIALS LETTERS Vol.18 No.2

        The design of the material synthesis process is important because this process can be applied to a variety of materials and used in different applications. Herein, we selectively oxidized two types of metals in a carbon nanofi ber (CNF) support and then left only one type of metal on a porous support using selective etching. Ni and MgO were formed in the CNFs through annealing, and then MgO was etched with an HCl etchant. In the selective oxidation process, two types of metal were selected by considering the oxidation tendency between the metal and C. Ni was selected as an oxidant of C, and Mg was selected as a reductant of C. The two metals with significantly different oxidation tendencies were predicted to have different reactivity with the etchant, making them suitable for selective etching. The effectiveness of selective etching was verified by energydispersive X-ray spectroscopy (EDS) and transmission electron microscopy (TEM). In EDS, the atomic concentration of Mg was selectively reduced. In TEM, the formation of a porous structure was confirmed.

      • KCI등재

        p-GaN/AlGaN/GaN E-mode FET 제작을 위한 선택적 GaN 식각 공정 개발

        Jang, Won-Ho,Cha, Ho-Young 한국정보통신학회 2020 한국정보통신학회논문지 Vol.24 No.2

        In this work, we developed a selective etching process for GaN that is a key process in p-GaN/AlGaN/GaN enhancement-mode (E-mode) power switching field-effect transistor (FET) fabrication. In order to achieve a high current density of p-GaN/AlGaN/GaN E-mode FET, the p-GaN layer beside the gate region must be selectively etched whereas the underneath AlGaN layer should be maintained. A selective etching process was implemented by oxidizing the surface of the AlGaN layer and the GaN layer by adding O2 gas to Cl2/N2 gas which is generally used for GaN etching. A selective etching process was optimized using Cl2/N2/O2 gas mixture and a high selectivity of 53:1 (= GaN/AlGaN) was achieved.

      • SCOPUSKCI등재

        고밀도 평판형 유도결합 BCl<sub>3</sub>/SF<sub>6</sub> 플라즈마를 이용한 GaAs/AlGaAs와 InGaP 반도체의 선택적 식각에 관한 연구

        유승열,류현우,임완태,이제원,조관식,전민현,송한정,이봉주,고종수,고정상,Yoo Seungryul,Ryu Hyunwoo,Lim Wantae,Lee Jewon,Cho Guan Sik,Jeon Minhyon,Song Hanjung,Lee BongJu,Ko Jong Soo,Go Jeung Sang,Pearton S. J. 한국재료학회 2005 한국재료학회지 Vol.15 No.3

        We investigated selective dry etching of GaAs over AlGaAs and InGaP in high density planar inductively coupled $BCl_3/SF_6$ plasmas. The process parameters were ICP source power (0-500 W), RE chuck power (0-30W) and gas composition $(60-100\%\;BCl_3\;in\;BCl_3/SF_6)$. The process results were characterized in terms of etch rate, selectivities of GaAs over AlGaAs and InGaP, surface morphology, surface roughness and residues after etching. $BCl_3/SF_6$ selective etching of GaAs showed quite good results in this study. Selectivities of GaAs $(GaAs:AlGaAs\~36:1,\;GaAs:InGaP\~45:1)$ were superior at $18BCl_3/2SF_6$, 20 W RF chuck power, 300 W ICP source power and 7.5 mTorr. Addition of $(5-15\%)SF_6\;to\;BCl_3$ produced relatively high selectivities of GaAs over AlGaAs and InGaP during etching due to decrease of etch rates of AlGaAs and InGaP (boiling points of etch products: $AlF_3\~1300^{\circ}C,\;InF_3>1200^{\circ}C$ at atmosphere) at the condition. SEM and AFM data showed slightly sloped sidewall and somewhat rough surface$(RMS\~9nm)$. XPS study on the surface of processed GaAs proved a very clean surface after dry etching. It shows that planar inductively coupled $BCl_3/SF_6$ plasmas could be a good candidate for selective dry etching of GaAs over AlGaAs and InGaP.

      • KCI등재

        P-GaN Gated AlGaN/GaN E-mode HFET Fabricated with Selective GaN Etching Process

        Won-Ho Jang,Kwang-Seok Seo,Ho-Young Cha 대한전자공학회 2020 Journal of semiconductor technology and science Vol.20 No.6

        An O₂ based selective GaN etching process was developed herein for use in p-GaN gated AlGaN/GaN heterojunction field-effect transistor fabrication, where precise control of the p-GaN etching was an important process step that determined the device characteristics. The p-GaN layer was etched by a two-step process: low damage BCl₃/Cl₂ plasma etching in conjunction with Cl₂/N₂/O₂ based selective etching. A high selectivity of 53:1 for the p-GaN:AlGaN was achieved by the Cl₂/N₂/O₂ plasma etching. The device fabricated by the optimized etching process exhibited excellent enhancement-mode characteristics, i.e., a threshold voltage of 2.45 V, a specific on-resistance of 5.55 mΩ·cm², an on/off ratio of ~10<SUP>9</SUP>, and an off-state breakdown voltage of >1100 V.

      • KCI등재

        Tungsten Silicide Gate Etching with Very High WSix to Poly-Si Selectivity and Low WSix Etch Rate Micro-Loading in the High Density Plasma

        Yong Deuk Ko,Hui-Gon Chun,Jinhan Choi 한국물리학회 2008 THE JOURNAL OF THE KOREAN PHYSICAL SOCIETY Vol.52 No.4

        As the design rule of DRAM device decreases to less than 100 nm and the aspect ratio between gate electrode and space increases dramatically (3.5~ 4), it is coming difficult to fill the spaces with insulating materials. It is also becoming more preferable to reduce the thickness of poly-Si rather than to reduce WSix film because of reducing electrical resistivity. To meet these requirements, highly selective and anisotropic etching for WSix/poly-Si has been developed by fully utilizing a high density plasma source. In this study, O₂ and N₂ gases were added to achieve high selectivity in NF₃/Cl₂ gas process. The addition of O₂ increases WSix etch rate by forming W-oxyhalides and passivates poly-Si by forming Si-O layer. On the other hand, the addition of N₂ gas decreases both poly-Si and WSix, but it forms a sidewall protection layer (WN) on WSix, achieving an isotropic etching. The role of cathode temperature was found to not only increase WSix etch rate and the WSix /poly-Si selectivity but also provides a wider process margin for the production environment. As the design rule of DRAM device decreases to less than 100 nm and the aspect ratio between gate electrode and space increases dramatically (3.5~ 4), it is coming difficult to fill the spaces with insulating materials. It is also becoming more preferable to reduce the thickness of poly-Si rather than to reduce WSix film because of reducing electrical resistivity. To meet these requirements, highly selective and anisotropic etching for WSix/poly-Si has been developed by fully utilizing a high density plasma source. In this study, O₂ and N₂ gases were added to achieve high selectivity in NF₃/Cl₂ gas process. The addition of O₂ increases WSix etch rate by forming W-oxyhalides and passivates poly-Si by forming Si-O layer. On the other hand, the addition of N₂ gas decreases both poly-Si and WSix, but it forms a sidewall protection layer (WN) on WSix, achieving an isotropic etching. The role of cathode temperature was found to not only increase WSix etch rate and the WSix /poly-Si selectivity but also provides a wider process margin for the production environment.

      • SCISCIESCOPUS

        On the relationships between plasma chemistry, etching kinetics and etching residues in CF<sub>4</sub>+C<sub>4</sub>F<sub>8</sub>+Ar and CF<sub>4</sub>+CH<sub>2</sub>F<sub>2</sub>+Ar plasmas with various CF<sub>4</sub>/C<sub>4</sub>F<sub>8</sub> and CF<s

        Lee, Jaemin,Efremov, Alexander,Kwon, Kwang-Ho Elsevier 2018 Vacuum Vol.148 No.-

        <P><B>Abstract</B></P> <P>In this work, we investigated how the CF<SUB>4</SUB>/C<SUB>4</SUB>F<SUB>8</SUB> and CF<SUB>4</SUB>/CH<SUB>2</SUB>F<SUB>2</SUB> mixing ratios in CF<SUB>4</SUB>+C<SUB>4</SUB>F<SUB>8</SUB>+Ar and CF<SUB>4</SUB>+CH<SUB>2</SUB>F<SUB>2</SUB>+Ar inductively coupled plasmas influence plasma parameters, densities and fluxes of plasma active species and etching characteristics (process kinetics, etching rates and selectivities, etching residues) for both Si and SiO<SUB>2</SUB>. For this purpose, we employed surface diagnostics by x-ray photoelectron spectroscopy (XPS), plasma diagnostics by Langmuir probes and 0-dimensional plasma model. It was found that the substitution of CF<SUB>4</SUB> for CH<SUB>2</SUB>F<SUB>2</SUB> causes the stronger decreases in both F atom flux and ion energy flux compared with the effect of C<SUB>4</SUB>F<SUB>8</SUB>. Accordingly, the CF<SUB>4</SUB>+CH<SUB>2</SUB>F<SUB>2</SUB>+Ar mixture provides the deeper fall of both Si and SiO<SUB>2</SUB> etching rates, leaves the higher amount of the fluorocarbon polymer on the etched surface (especially in the case of Si) and results in a bit higher SiO<SUB>2</SUB>/Si etching selectivity. It was shown also that, in both gas systems, the etching process appears in the steady-state regime. The mechanisms influencing the etching/polymerization balance were discussed based on the correlation between Si and SiO<SUB>2</SUB> etching rates with fluxes of plasma active species.</P> <P><B>Highlights</B></P> <P> <UL> <LI> Etching characteristics and residues for Si and SiO<SUB>2</SUB> surfaces are investigated. </LI> <LI> The differences in etching rates correspond to the differences in F atom fluxes. </LI> <LI> The higher polymerization ability is connected with the lower <SUB> Γ F </SUB> <SUB> Γ p o l </SUB> . </LI> </UL> </P>

      • KCI등재

        Selective Electrochemical Etching of Stainless Steel Using Laser-Patterned Copper Layer

        Hong-Shik Shin 한국정밀공학회 2019 International Journal of Precision Engineering and Vol.20 No.5

        This paper proposes a novel electrochemical etching process without the need for a metal mask or photo-resist mask. The selective electrochemical etching using laser-patterned (SEEL) copper layer process consists of three steps: electrodeposition, laser patterning, and electrochemical etching. In the SEEL copper layer process, a deposited copper layer was formed on stainless steel by an electrodeposition process. A patterned copper layer on stainless steel was formed by laser beam irradiation. A patterned copper layer serves as both a sacrificial layer and a protective mask during the electrochemical etching process. The results were observed via scanning electron microscopy and surface profiler measurement. The appropriate conditions for stable SEEL copper layer process were determined. Finally, selective electrochemical etching with various micro patterns on stainless steel was been successfully performed.

      • SCOPUSKCI등재

        The Dry Etching Properties of ZnO Thin Film in Cl<sub>2</sub>/BCl<sub>3</sub>/Ar Plasma

        Woo, Jong-Chang,Kim, Chang-Il The Korean Institute of Electrical and Electronic 2010 Transactions on Electrical and Electronic Material Vol.11 No.3

        The etching characteristics of zinc oxide (ZnO) were investigated, including the etch rate and the selectivity of ZnO in a $Cl_2/BCl_3$/Ar plasma. It was found that the ZnO etch rate, the RF power, and the gas pressure showed non-monotonic behaviors with an increasing Cl2 fraction in the $Cl_2/BCl_3$/Ar plasma, a gas mixture of $Cl_2$(3 sccm)/$BCl_3$(16 sccm)/Ar (4 sccm) resulted in a maximum ZnO etch rate of 53 nm/min and a maximum etch selectivity of 0.89 for ZnO/$SiO_2$. We used atomic force microscopy to determine the roughness of the surface. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the plasmas. Due to the relatively low volatility of the by-products formed during etching with $Cl_2/BCl_3$/Ar plasma, ion bombardment and physical sputtering were required to obtain the high ZnO etch rate. The chemical states of the etched surfaces were investigated using X-ray photoelectron spectroscopy (XPS). This data suggested that the ZnO etch mechanism was due to ion enhanced chemical etching.

      • SCOPUSKCI등재

        고밀도 CHF<sub>3</sub> 플라즈마에서 바이어스 전압과 이온의 입사각이 Photoresist의 식각에 미치는 영향

        강세구,민재호,이진관,문상흡,Kang, Se-Koo,Min, Jae-Ho,Lee, Jin-Kwan,Moon, Sang Heup 한국화학공학회 2006 Korean Chemical Engineering Research(HWAHAK KONGHA Vol. No.

        고밀도 $CHF_3$ 플라즈마를 이용한 식각에서 photoresist(PR)의 식각속도 및 $SiO_2$의 PR에 대한 식각 선택도가 이온의 입사 각도에 따라 변화하는 특성을 관찰하였다. 플라즈마 내에 파라데이 상자를 설치하여 이온의 입사 각도를 조절하였으며, 바이어스 전압을 변화시켜 이온의 입사 에너지를 조절하였다. 대부분의 바이어스 전압에서 $SiO_2$의 식각속도는 이온입사각도가 증가함에 따라 단조 감소함에 비해 PR의 식각속도는 중간각도 영역까지 일정하다가 그 이후에 감소하기 시작하였다. 이온입사각도가 $0^{\circ}$인 조건에서의 식각속도를 기준으로 정규화된 식각속도(NER)는 $SiO_2$의 경우 cosine함수와 거의 일치하였으나 PR의 경우 중간각도영역에서 over-cosine 형태를 보였다. PR에 대한 $SiO_2$의 식각선택도는 이온입사각도에 따라 점차로 감소하였는데, 이는 PR이 $SiO_2$에 비해 중간각도에서 물리적 스퍼터링에 의해 식각 수율이 크게 증가하였기 때문이다. 또한, 바이어스 전압의 증가에 따라 PR에 대한 식각선택도는 대부분의 이온입사각도에서 감소하였다. The etch rates of photoresist (PR) and the etch selectivity of $SiO_2$ to PR in a high density $CHF_3$ plasma were investigated at different ion-incident angles and bias voltages. A Faraday cage was employed for the accurate control of ion-incident angles. The ion energy was controlled by changing bias voltages. The etch rate of $SiO_2$ continuously decreased with ion-incident angles but the etch rate of PR remained constant up to the middle angle region and decreased afterwards. The etch rates of $SiO_2$ normalized to those at $0^{\circ}$ incident angle changed with the ion-incident angle following a cosine(${\theta}$) curve. On the other hand, the normalized etch rates of the PR changed showing a drastic over-cosine shape in the middle angle region. The etch selectivity of $SiO_2$ to PR decreased with an increase in the ion-incident angle because the etch yields of PR were enhanced by physical sputtering in the middle angle region compared to the case of $SiO_2$ etching. The etch selectivity of $SiO_2$ to PR decreased with an increase in the bias voltage at nearly all ion-incident angles.

      연관 검색어 추천

      이 검색어로 많이 본 자료

      활용도 높은 자료

      해외이동버튼