RISS 학술연구정보서비스

검색
다국어 입력

http://chineseinput.net/에서 pinyin(병음)방식으로 중국어를 변환할 수 있습니다.

변환된 중국어를 복사하여 사용하시면 됩니다.

예시)
  • 中文 을 입력하시려면 zhongwen을 입력하시고 space를누르시면됩니다.
  • 北京 을 입력하시려면 beijing을 입력하시고 space를 누르시면 됩니다.
닫기
    인기검색어 순위 펼치기

    RISS 인기검색어

      검색결과 좁혀 보기

      선택해제
      • 좁혀본 항목 보기순서

        • 원문유무
        • 원문제공처
        • 등재정보
          펼치기
        • 학술지명
          펼치기
        • 주제분류
        • 발행연도
          펼치기
        • 작성언어
        • 저자
          펼치기

      오늘 본 자료

      • 오늘 본 자료가 없습니다.
      더보기
      • 무료
      • 기관 내 무료
      • 유료
      • SCISCIESCOPUSKCI등재

        Extraction of Passive Device Model Parameters Using Genetic Algorithms

        Yun, Il-Gu,Carastro, Lawrence A.,Poddar, Ravi,Brooke, Martin A.,May, Gary S.,Hyun, Kyung-Sook,Pyun, Kwang-Eui Electronics and Telecommunications Research Instit 2000 ETRI Journal Vol.22 No.1

        The extraction of model parameters for embedded passive components is crucial for designing and characterizing the performance of multichip module (MCM) substrates. In this paper, a method for optimizing the extraction of these parameters using genetic algorithms is presented. The results of this method are compared with optimization using the Levenberg-Marquardt (LM) algorithm used in the HSPICE circuit modeling tool. A set of integrated resistor structures are fabricated, and their scattering parameters are measured for a range of frequencies from 45 MHz to 5 GHz. Optimal equivalent circuit models for these structures are derived from the s-parameter measurements using each algorithm. Predicted s-parameters for the optimized equivalent circuit are then obtained from HSPICE. The difference between the measured and predicted s-parameters in the frequency range of interest is used as a measure of the accuracy of the two optimization algorithms. It is determined that the LM method is extremely dependent upon the initial starting point of the parameter search and is thus prone to become trapped in local minima. This drawback is alleviated and the accuracy of the parameter values obtained is improved using genetic algorithms.

      • KCI등재후보

        Characterization of Low-temperature SU-8 Negative Photoresist Processing for MEMS Applications

        Gary S. May,한성수,홍상진 한국전기전자재료학회 2005 Transactions on Electrical and Electronic Material Vol.6 No.4

        In this paper, negative SU-8 photoresist processed at low temperature is characterized in terms of delamination. Based on a 33 factorial designed experiment, 27 samples are fabricated, and the degree of delamination is measured for each. In addition, nine samples are fabricated for the purpose of verification. Employing the neural network modeling technique, a process model is established, and response surfaces are generated to investigate degree of delamination associated with three process parameters: post exposure bake (PEB) temperature, PEB time, and exposure energy. From the response surfaces generated, two significant parameters associated with delamination are identified, and their effects on delamination are analyzed. Higher PEB temperature at a fixed PEB time results in a greater degree of delamination. In addition, a higher dose of exposure energy lowers the temperature at which the delamination begins and also results in a larger degree of delamination. These results identify acceptable ranges of the three process variables to avoid delamination of SU-8 film, which in turn might lead to potential defects in MEMS device fabrication.

      • SCOPUSKCI등재

        Characterization of Low-temperature SU-8 Negative Photoresist Processing for MEMS Applications

        May Gary S.,Han, Seung-Soo,Hong, Sang-Jeen The Korean Institute of Electrical and Electronic 2005 Transactions on Electrical and Electronic Material Vol.6 No.4

        In this paper, negative SU-8 photoresist processed at low temperature is characterized in terms of delamination. Based on a $3^3$ factorial designed experiment, 27 samples are fabricated, and the degree of delamination is measured for each. In addition, nine samples are fabricated for the purpose of verification. Employing the. neural network modeling technique, a process model is established, and response surfaces are generated to investigate degree of delamination associated with three process parameters: post exposure bake (PEB) temperature, PEB time, and exposure energy. From the response surfaces generated, two significant parameters associated with delamination are identified, and their effects on delamination are analyzed. Higher PEB temperature at a fixed PEB time results in a greater degree of delamination. In addition, a higher dose of exposure energy lowers the temperature at which the delamination begins and also results in a larger degree of delamination. These results identify acceptable ranges of the three process variables to avoid delamination of SU-8 film, which in turn might lead to potential defects in MEMS device fabrication.

      • KCI등재

        Endoscopic Ultrasound-Guided Vascular Therapy: The Present and the Future

        Philip S.J. Hall,Christopher Teshima,Gary R May,Jeffrey D. Mosko 대한소화기내시경학회 2017 Clinical Endoscopy Vol.50 No.2

        Endoscopic ultrasound (EUS) offers access to many intra-abdominal vessels that until now have only been accessible to the surgeon and interventional radiologist. In addition to assisting with diagnostics, this unique access offers the potential for therapeutic intervention for a host of indications. To date, this has had the most clinical impact in the treatment of gastroesophageal varices, with EUS-guided coil and glue application growing in use worldwide. Although randomised controlled trial data is lacking, we discuss the growing body of literature behind EUS-guided therapy in the management of varices. EUS has also been used in specialized centres to assist in non-variceal gastrointestinal bleeding. The treatment of bleeding from Dieulafoy lesions, tumours and pancreatic pseudoaneurysms has all been described. The potential applications of EUS have also extended to the placement of portal vein stents and porto-systemic shunts in animal models. As medicine continues to move to increasingly less invasive interventions, EUS-guided therapies offer substantial promise for the safe and effective delivery of targeted treatment for a widening array of vascular disorders.

      • KCI등재

        Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

        이호재,서동선,Gary S. May,홍상진 대한전자공학회 2013 Journal of semiconductor technology and science Vol.13 No.4

        In-situ optical emission spectroscopy (OES)is employed for leak detection in plasma etchingsystem. A misprocessing is reported for significantlyreduced silicon etch rate with chlorine gas, and OESis used as a supplementary sensor to analyze the gasphase species that reside in the process chamber. Potential cause of misprocessing reaches to chamberO-ring wear out, MFC leaks, and/or leak at gasdelivery line, and experiments are performed tofunnel down the potential of the cause. Whilemonitoring the plasma chemistry of the processchamber using OES, the emission trace for nitrogenspecies is observed at the chlorine gas supply. Notrace of nitrogen species is found in other thanchlorine gas supply, and we found that the amount ofchlorine gas is slightly fluctuating. We successfullyfound the root cause of the reported misprocessingwhich may jeopardize the quality of thin filmprocessing. Based on a quantitative analysis of theamount of nitrogen observed in the chamber, weconclude that the source of the leak is the fitting of thechlorine mass flow controller with the amount ofaround 2-5 sccm.

      • KCI등재

        Optical In-Situ Plasma Process Monitoring Technique for Detection of Abnormal Plasma Discharge

        홍상진,안종환,박원택,Gary S. May 한국전기전자재료학회 2013 Transactions on Electrical and Electronic Material Vol.14 No.2

        Advanced semiconductor manufacturing technology requires methods to maximize tool efficiency and improve product quality by reducing process variability. Real-time plasma process monitoring and diagnosis have become crucial for fault detection and classification (FDC) and advanced process control (APC). Additional sensors may increase the accuracy of detection of process anomalies, and optical monitoring methods are non-invasive. In this paper, we propose the use of a chromatic data acquisition system for real-time in-situ plasma process monitoring called the Plasma Eyes Chromatic System (PECS). The proposed system was initially tested in a six-inch research tool, and it was then further evaluated for its potential to detect process anomalies in an eight-inch production tool for etching blanket oxide films. Chromatic representation of the PECS output shows a clear correlation with small changes in process parameters, such as RF power, pressure, and gas flow. We also present how the PECS may be adapted as an in-situ plasma arc detector. The proposed system can provide useful indications of a faulty process in a timely and non-invasive manner for successful run-to-run (R2R) control and FDC.

      • KCI등재

        PECVD Chamber Cleaning End Point Detection (EPD)Using Optical Emission Spectroscopy Data

        이호재,서동선,홍상진,Gary S. May 한국전기전자재료학회 2013 Transactions on Electrical and Electronic Material Vol.14 No.5

        In-situ optical emission spectroscopy (OES) is employed for PECVD chamber monitoring. OES is used as an addon sensor to monitoring and cleaning end point detection (EPD). On monitoring plasma chemistry using OES, the process gas and by-product gas are simultaneously monitored. Principal component analysis (PCA) enhances the capability of end point detection using OES data. Through chamber cleaning monitoring using OES, cleaning time is reduced by 53%, in general. Therefore, the gas usage of fluorine is also reduced, so satisfying Green Fab challenge in semiconductor manufacturing.

      • KCI등재

        Use of a Neural Network to Model the Carrier Life Time of Silicon-Nitride Films Deposited by Using PECVD

        권민지,Byungwhan Kim,Gary S. May 한국물리학회 2009 THE JOURNAL OF THE KOREAN PHYSICAL SOCIETY Vol.55 No.5

        Silicon-nitride films were deposited by using a plasma-enhanced chemical vapor deposition (PECVD) system. For a systematic modeling, the PECVD process was characterized by means of a statistical experiment. Using a neural network, an effective lifetime was modeled. An optimized model yielded a prediction model of 2.18 μs. The model was used to study qualitative mechanisms regarding parameter effects on the lifetime. A refractive index model was also utilized to explore relationships between the life time and [Si-H]/[N-H]. An increase in lifetime with decreasing pressure was attributed to an enhanced [N-H] and became much pronounced at lower hydrogen content. The longer lifetime at either higher SiH4 flow rate or lower N2 flow rate was attributed mainly to enhanced [Si-H] or [N-H], respectively. Silicon-nitride films were deposited by using a plasma-enhanced chemical vapor deposition (PECVD) system. For a systematic modeling, the PECVD process was characterized by means of a statistical experiment. Using a neural network, an effective lifetime was modeled. An optimized model yielded a prediction model of 2.18 μs. The model was used to study qualitative mechanisms regarding parameter effects on the lifetime. A refractive index model was also utilized to explore relationships between the life time and [Si-H]/[N-H]. An increase in lifetime with decreasing pressure was attributed to an enhanced [N-H] and became much pronounced at lower hydrogen content. The longer lifetime at either higher SiH4 flow rate or lower N2 flow rate was attributed mainly to enhanced [Si-H] or [N-H], respectively.

      • SCIESCOPUSKCI등재

        Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

        Lee, Ho Jae,Seo, Dong-Sun,May, Gary S.,Hong, Sang Jeen The Institute of Electronics and Information Engin 2013 Journal of semiconductor technology and science Vol.13 No.4

        In-situ optical emission spectroscopy (OES) is employed for leak detection in plasma etching system. A misprocessing is reported for significantly reduced silicon etch rate with chlorine gas, and OES is used as a supplementary sensor to analyze the gas phase species that reside in the process chamber. Potential cause of misprocessing reaches to chamber O-ring wear out, MFC leaks, and/or leak at gas delivery line, and experiments are performed to funnel down the potential of the cause. While monitoring the plasma chemistry of the process chamber using OES, the emission trace for nitrogen species is observed at the chlorine gas supply. No trace of nitrogen species is found in other than chlorine gas supply, and we found that the amount of chlorine gas is slightly fluctuating. We successfully found the root cause of the reported misprocessing which may jeopardize the quality of thin film processing. Based on a quantitative analysis of the amount of nitrogen observed in the chamber, we conclude that the source of the leak is the fitting of the chlorine mass flow controller with the amount of around 2-5 sccm.

      • SCIESCOPUSKCI등재

        Use of In-Site Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

        Ho Jae Lee,Dong-Sun Seo,Gary S. May,Sang Jeen Hong 대한전자공학회 2013 Journal of semiconductor technology and science Vol.13 No.4

        In-situ optical emission spectroscopy (OES) is employed for leak detection in plasma etching system. A misprocessing is reported for significantly reduced silicon etch rate with chlorine gas, and OES is used as a supplementary sensor to analyze the gas phase species that reside in the process chamber. Potential cause of misprocessing reaches to chamber O-ring wear out, MFC leaks, and/or leak at gas delivery line, and experiments are performed to funnel down the potential of the cause. While monitoring the plasma chemistry of the process chamber using OES, the emission trace for nitrogen species is observed at the chlorine gas supply. No trace of nitrogen species is found in other than chlorine gas supply, and we found that the amount of chlorine gas is slightly fluctuating. We successfully found the root cause of the reported misprocessing which may jeopardize the quality of thin film processing. Based on a quantitative analysis of the amount of nitrogen observed in the chamber, we conclude that the source of the leak is the fitting of the chlorine mass flow controller with the amount of around 2-5 sccm.

      연관 검색어 추천

      이 검색어로 많이 본 자료

      활용도 높은 자료

      해외이동버튼