RISS 학술연구정보서비스

검색
다국어 입력

http://chineseinput.net/에서 pinyin(병음)방식으로 중국어를 변환할 수 있습니다.

변환된 중국어를 복사하여 사용하시면 됩니다.

예시)
  • 中文 을 입력하시려면 zhongwen을 입력하시고 space를누르시면됩니다.
  • 北京 을 입력하시려면 beijing을 입력하시고 space를 누르시면 됩니다.
닫기
    인기검색어 순위 펼치기

    RISS 인기검색어

      검색결과 좁혀 보기

      선택해제
      • 좁혀본 항목 보기순서

        • 원문유무
        • 원문제공처
          펼치기
        • 등재정보
          펼치기
        • 학술지명
          펼치기
        • 주제분류
        • 발행연도
          펼치기
        • 작성언어
        • 저자
          펼치기

      오늘 본 자료

      • 오늘 본 자료가 없습니다.
      더보기
      • 무료
      • 기관 내 무료
      • 유료
      • 통계적 실험계획에 의한 PECVD로 증착된 실리콘 산화막의 특성 분석

        李晟準,金洸範,崔鑛泉,漢秀一,尹知原,金劉邏,朴宰賢,洪尙眞 명지대학교 산업기술연구소 2006 産業技術硏究所論文集 Vol.25 No.-

        According as the current needs of low temperature in semiconductor manufacturing process, PECVD using low temperature and high deposition rate is becoming more of a concern. However, PECVD equipment has the defect possibility on the interface between substrates and gate oxide layer, because ions or electrons with much high energy clash the interface. In this study, we embarked on the experiment with Statistical Design of Experiment and then also analyze which parameters influence on the characteristic of silicon dioxide filems. We finally made a constructive proposal for process optimization conditions.

      • 반응표면분석을 통한 SU-8 포토레지스트의 특성 및 최적화

        李晟準,文世泳,朴宰賢,洪尙眞 明知大學校 産業技術硏究所 2006 産業技術硏究所論文集 Vol.25 No.-

        SU-8 is a epoxy based photoresist designed for MEMS applications, where a thick, chemically and thermally stable image is desired. But SU-8 has proven to be very sensitive to variation in processing variables and hence difficult to use in the fabrication of useful structures. In this paper, negative SU-8 photoresist processed has been characterized in terms of delamination. Based on a full factorial designed experiment. Employing the design of experiment (DOE), a process parameter is established, and analyzing of full factorial design is generated to investigate degree of delamination associated with three process parameters: PEB (Post Exposure Bake) temperature, PEB time, and exposure energy. These results identify acceptable ranges of the three process variables to avoid delamination of SU-8 film, which in turn might lead to potential defects in MEMS device fabrication.

      • SCIESCOPUSKCI등재

        Multiple-inputs Dual-outputs Process Characterization and Optimization of HDP-CVD SiO<SUB>2</SUB> Deposition

        Sang Jeen Hong,Jong Ha Hwang,Sang Hyun Chun,Seung Soo Han 대한전자공학회 2011 Journal of semiconductor technology and science Vol.11 No.3

        Accurate process characterization and optimization are the first step for a successful advanced process control (APC), and they should be followed by continuous monitoring and control in order to run manufacturing processes most efficiently. In this paper, process characterization and recipe optimization methods with multiple outputs are presented in high density plasma-chemical vapor deposition (HDP-CVD) silicon dioxide deposition process. Five controllable process variables of Top SiH4, Bottom SiH4, O2, Top RF Power, and Bottom RF Power, and two responses of interest, such as deposition rate and uniformity, are simultaneously considered employing both statistical response surface methodology (RSM) and neural networks (NNs) based genetic algorithm (GA). Statistically, two phases of experimental design was performed, and the established statistical models were optimized using performance index (PI). Artificial intelligently, NN process model with two outputs were established, and recipe synthesis was performed employing GA. Statistical RSM offers minimum numbers of experiment to build regression models and response surface models, but the analysis of the data need to satisfy underlying assumption and statistical data analysis capability. NN based-GA does not require any underlying assumption for data modeling; however, the selection of the input data for the model establishment is important for accurate model construction. Both statistical and artificial intelligent methods suggest competitive characterization and optimization results in HDP-CVD SiD2 deposition process, and the NN based-GA method showed 26% uniformity improvement with 36% less SiH4 gas usage yielding 20.8 Å/sec deposition rate.

      • SCOPUSKCI등재

        Statistical Qualitative Analysis on Chemical Mechanical Polishing Process and Equipment Characterization

        Hong, Sang-Jeen,Hwang, Jong-Ha,Seo, Dong-Sun The Korean Institute of Electrical and Electronic 2011 Transactions on Electrical and Electronic Material Vol.12 No.2

        The characterization of the chemical mechanical polishing (CMP) process for undensified phophosilicate glass (PSG) film is reported using design of experiments (DOE). DOE has been used by experimenters to understand the relationship between the input variables and responses of interest in a simple and efficient way, and it typically is beneficial for determining the appropriatesize of experiments with multiple process variables and making statistical inferences for the responses of interest. The equipment controllable parameters used to operate the machine consist of the down force of the wafer carrier, pressure on the back side wafer, table and spindle speeds (SS), slurry flow (SF) rate, pad condition, etc. None of these are independent ofeach other and, thus, the interaction between the parameters also needs to be understoodfor improved process characterization in CMP. In this study, we selected the five controllable equipment parameters the most recommendedby process engineers, viz. the down force (DF), back pressure (BP), table speed (TS), SS, and SF, for the characterization of the CMP process with respect to the material removal rate and film uniformity in percentage terms. The polished material is undensified PSG which is widely used for the plananization of multi-layered metal interconnects. By statistical modeling and the analysis of the metrology data acquired from a series of $2^{5-1}$ fractional factorial designs with two center points, we showed that the DF, BP and TS have the greatest effect on both the removal rate and film uniformity, as expected. It is revealed that the film uniformity of the polished PSG film contains two and three-way interactions. Therefore, one can easily infer that process control based on a better understanding of the process is the key to success in current semiconductor manufacturing, in which the size of the wafer is approaching 300 mm and is scheduled to continuously increase up to 450 mm in or slightly after 2012.

      • SCOPUSKCI등재

        Statistical Qualitative Analysis on Chemical Mechanical Polishing Process and Equipment Characterization

        Hong, Sang-Jeen,Hwang, Jong-Ha,Seo, Dong-Sun The Korean Institute of Electrical and Electronic 2011 Transactions on Electrical and Electronic Material Vol.12 No.3

        Process characterization of the chemical mechanical polishing (CMP) process for undensified phosphosilicate glass (PSG) film is reported using design of experiments (DOE). DOE has been addressed to experimenters to understand the relationship between input variables and responses of interest in a simple and efficient way. It is typically beneficial for determining the adequate size of experiments with multiple process variables and making statistical inferences for the responses of interests. Equipment controllable parameters to operate the machine include the down force (DF) of the wafer carrier, pressure on the backside of the wafer, table and spindle speed (SS), slurry flow rate, and pad condition. None of them is independent; thus, the interaction between parameters also needs to be indicated to improve process characterization in CMP. In this paper, we have selected the five controllable equipment parameters, such as DF, back pressure (BP), table speed (TS), SS, and slurry flow (SF), most process engineers recommend to characterize the CMP process with respect to material removal rate (RR) and film uniformity as a percentage. The polished material is undensified PSG. PSG is widely used for the plananization in multi-layered metal interconnects. We identify the main effect of DF, BP, and TS on both RR and film uniformity, as expected, by the statistical modeling and analysis on the metrology data acquired from a series of $2^{5-1}$ fractional factorial design with two center points. This revealed the film uniformity of the polished PSG film contains two and three-way interactions. Therefore, one can easily infer that the process control based on better understanding of the process is the key to success in semiconductor manufacturing, typically when the wafer size reaches 300 mm and is continuously scheduled to expand up to 450 mm in or little after 2012.

      • SCOPUSKCI등재

        Process Optimization for Flexible Printed Circuit Board Assembly Manufacturing

        Hong, Sang-Jeen,Kim, Hee-Yeon,Han, Seung-Soo The Korean Institute of Electrical and Electronic 2012 Transactions on Electrical and Electronic Material Vol.13 No.3

        A number of surface mount technology (SMT) process variables including land design are considered for minimizing tombstone defect in flexible printed circuit assembly in high volume manufacturing. As SMT chip components have been reduced over the past years with their weights in milligrams, the torque that once helped self-centering of chips, gears to tombstone defects. In this paper, we have investigated the correlation of the assembly process variables with respect to the tombstone defect by employing statistically designed experiment. After the statistical analysis is performed, we have setup hypotheses for the root causes of tombstone defect and derived main effects and interactions of the process parameters affecting the hypothesis. Based on the designed experiments, statistical analysis was performed to investigate significant process variable for the purpose of process control in flexible printed circuit manufacturing area. Finally, we provide beneficial suggestions for find-pitch PCB design, screen printing process, chip-mounting process, and reflow process to minimize the tombstone defects.

      • SCOPUSKCI등재

        In-Situ Optical Monitoring of Electrochemical Copper Deposition Process for Semiconductor Interconnection Technology

        Hong, Sang-Jeen,Wang, Li,Seo, Dong-Sun,Yoon, Tae-Sik The Korean Institute of Electrical and Electronic 2012 Transactions on Electrical and Electronic Material Vol.13 No.2

        An in-situ optical monitoring method for real-time process monitoring of electrochemical copper deposition (CED) is presented. Process variables to be controlled in achieving desired process results are numerous in the CED process, and the importance of the chemical bath conditions cannot be overemphasized for a successful process. Conventional monitoring of the chemical solution for CED relies on the pH value of the solution, electrical voltage level for the reduction of metal cations, and gravity measurement by immersing sensors into a plating bath. We propose a nonintrusive optical monitoring technique using three types of optical sensors such as chromatic sensors and UV/VIS spectroscopy sensors as potential candidates as a feasible optical monitoring method. By monitoring the color of the plating solution in the bath, we revealed that optically acquired information is strongly related to the thickness of the deposited copper on the wafers, and that the chromatic information is inversely proportional to the ratio of $Cu$ (111) and {$Cu$ (111)+$Cu$ (200)}, which can used to measure the quality of the chemical solution for electrochemical copper deposition in advanced interconnection technology.

      • SCOPUSKCI등재

        Optical In-Situ Plasma Process Monitoring Technique for Detection of Abnormal Plasma Discharge

        Hong, Sang Jeen,Ahn, Jong Hwan,Park, Won Taek,May, Gary S. The Korean Institute of Electrical and Electronic 2013 Transactions on Electrical and Electronic Material Vol.14 No.2

        Advanced semiconductor manufacturing technology requires methods to maximize tool efficiency and improve product quality by reducing process variability. Real-time plasma process monitoring and diagnosis have become crucial for fault detection and classification (FDC) and advanced process control (APC). Additional sensors may increase the accuracy of detection of process anomalies, and optical monitoring methods are non-invasive. In this paper, we propose the use of a chromatic data acquisition system for real-time in-situ plasma process monitoring called the Plasma Eyes Chromatic System (PECS). The proposed system was initially tested in a six-inch research tool, and it was then further evaluated for its potential to detect process anomalies in an eight-inch production tool for etching blanket oxide films. Chromatic representation of the PECS output shows a clear correlation with small changes in process parameters, such as RF power, pressure, and gas flow. We also present how the PECS may be adapted as an in-situ plasma arc detector. The proposed system can provide useful indications of a faulty process in a timely and non-invasive manner for successful run-to-run (R2R) control and FDC.

      • SCIESCOPUSKCI등재

        Multiple-inputs Dual-outputs Process Characterization and Optimization of HDP-CVD SiO<sub>2</sub> Deposition

        Hong, Sang-Jeen,Hwang, Jong-Ha,Chun, Sang-Hyun,Han, Seung-Soo The Institute of Electronics and Information Engin 2011 Journal of semiconductor technology and science Vol.11 No.3

        Accurate process characterization and optimization are the first step for a successful advanced process control (APC), and they should be followed by continuous monitoring and control in order to run manufacturing processes most efficiently. In this paper, process characterization and recipe optimization methods with multiple outputs are presented in high density plasma-chemical vapor deposition (HDP-CVD) silicon dioxide deposition process. Five controllable process variables of Top $SiH_4$, Bottom $SiH_4$, $O_2$, Top RF Power, and Bottom RF Power, and two responses of interest, such as deposition rate and uniformity, are simultaneously considered employing both statistical response surface methodology (RSM) and neural networks (NNs) based genetic algorithm (GA). Statistically, two phases of experimental design was performed, and the established statistical models were optimized using performance index (PI). Artificial intelligently, NN process model with two outputs were established, and recipe synthesis was performed employing GA. Statistical RSM offers minimum numbers of experiment to build regression models and response surface models, but the analysis of the data need to satisfy underlying assumption and statistical data analysis capability. NN based-GA does not require any underlying assumption for data modeling; however, the selection of the input data for the model establishment is important for accurate model construction. Both statistical and artificial intelligent methods suggest competitive characterization and optimization results in HDP-CVD $SiO_2$ deposition process, and the NN based-GA method showed 26% uniformity improvement with 36% less $SiH_4$ gas usage yielding 20.8 ${\AA}/sec$ deposition rate.

      • SCOPUSKCI등재

        Incipient Fault Detection of Reactive Ion Etching Process

        Hong, Sang-Jeen,Park, Jae-Hyun,Han, Seung-Soo The Korean Institute of Electrical and Electronic 2005 Transactions on Electrical and Electronic Material Vol.6 No.6

        In order to achieve timely and accurate fault detection of plasma etching process, neural network based time series modeling has been applied to reactive ion etching (RIE) using two different in-situ plasma-monitoring sensors called optical emission spectroscopy (OES) and residual gas analyzer (RGA). Four different subsystems of RIE (such as RF power, chamber pressure, and two gas flows) were considered as potential sources of fault, and multiple degrees of faults were tested. OES and RGA data were simultaneously collected while the etching of benzocyclobutene (BCB) in a $SF_6/O_2$ plasma was taking place. To simulate established TSNNs as incipient fault detectors, each TSNN was trained to learn the parameters at t, t+T, ... , and t+4T. This prediction scheme could effectively compensate run-time-delay (RTD) caused by data preprocessing and computation. Satisfying results are presented in this paper, and it turned out that OES is more sensitive to RF power and RGA is to chamber pressure and gas flows. Therefore, the combination of these two sensors is recommended for better fault detection, and they show a potential to the applications of not only incipient fault detection but also incipient real-time diagnosis.

      연관 검색어 추천

      이 검색어로 많이 본 자료

      활용도 높은 자료

      해외이동버튼